Tuesday, October 26, 2010

Clock reconvergence pessimism removal (CRPR)

Clock reconvergence pessimism removal (CRPR)


In the adaptive CRPR mode, PrimeTime SI calculates CRPR only for the critical path set
(the violating portion of the design), thereby reducing the complexity of CRPR analysis. The
critical path set is the set of all paths with a slack of less than zero, for both setup and hold
timing constraints. The adaptive mode operates only when crosstalk analysis is enabled and
the maximum iteration count is set to 2 or more.


Typically, more nets are reselected for analysis in the second iteration using adaptive CRPR
because no CRPR is done in the first iteration. The resulting analysis is more accurate (less
pessimistic) because more nets are reselected for analysis.



report_timing and report_crpr

CRPR, or clock reconvergence pessimism removal, accounts for the difference in min/max delay of the portion of the clock network that is common to both the launch and the capture paths. For a path, it finds the common pin where the clock paths diverge, computes the difference between the min/max arrival times (the "CRP" value), and applies this as a credit to the path slack.

pt_shell> report_app_var *crpr*
Variable                             Value Type Default    Constraints
----------------------- --------- ------- ---------- -----------------------
timing_crpr_enable_adaptive_engine   false bool false
timing_crpr_minimize_grouping        false bool false
timing_crpr_remove_clock_to_data_crp false bool false
timing_crpr_remove_muxed_clock_crp    true bool true
timing_crpr_threshold_ps              1000 real  20         val >= 1


CRPR should be set to true to get things closer to the .........

No comments:

Post a Comment